當前位置:妙知谷 >

母嬰教育 >學習交流 >

quartus ii 9.0/9.1如何進行波形仿真?

quartus ii 9.0/9.1如何進行波形仿真?

quartus ii 9.0/9.1如何進行波形仿真呢?結合個人所學就以quartus ii 9.1為例教大家如何操作,quartus ii 9.0與9.1的使用是一樣的。

quartus ii 9.0/9.1如何進行波形仿真?

操作方法

(01)首先新建工程。File->new project Wizard.點擊Next。

quartus ii 9.0/9.1如何進行波形仿真? 第2張

(02)輸入工程名字,點擊Finsh就把一個空工程建立好了。

quartus ii 9.0/9.1如何進行波形仿真? 第3張

(03)接着新建VHDL文件

quartus ii 9.0/9.1如何進行波形仿真? 第4張

(04)編寫代碼。注意工程名要和實體名一致。

quartus ii 9.0/9.1如何進行波形仿真? 第5張

(05)編譯、綜合

quartus ii 9.0/9.1如何進行波形仿真? 第6張

(06)如圖所示表示編譯、綜合成功。

quartus ii 9.0/9.1如何進行波形仿真? 第7張

(07)建立波形文件。

quartus ii 9.0/9.1如何進行波形仿真? 第8張

(08)添加節點。先點擊空白處彈出如圖所示對話框。

quartus ii 9.0/9.1如何進行波形仿真? 第9張

(09)再把編程涉及的節點加入,設置之後點擊保存時,波形文件名會默認實體名,點擊保存即可。

quartus ii 9.0/9.1如何進行波形仿真? 第10張

(10)選擇仿真工具。processing->Simulator tool。

quartus ii 9.0/9.1如何進行波形仿真? 第11張

(11)進行仿真,通過如圖所示的步驟就能得到自己設計的波形了。

quartus ii 9.0/9.1如何進行波形仿真? 第12張

特別提示

工程名就是在第二步名的名字,一定要和實體名對應哦!

  • 文章版權屬於文章作者所有,轉載請註明 https://miaozhigu.com/jy/jiaoliu/dee11k.html