當前位置:妙知谷 >

遊戲數碼 >電腦 >

modelsim使用教程

modelsim使用教程

Modelsim仿真工具是Model公司開發的。它支持Verilog、VHDL以及他們的混合仿真,它可以將整個程序分步執行,使設計者直接看到他的程序下一步要執行的語句,而且在程序執行的任何步驟任何時刻都可以查看任意變量的當前值,可以在Dataflow窗口查看某一單元或模塊的輸入輸出的連續變化等,比quartus自帶的仿真器功能強大的多,是目前業界最通用的仿真器之一。
對於初學者,modelsim自帶的教程是一個很好的選擇,在Help->SE PDF Documentation->Tutorial裏面.它從簡單到複雜、從低級到高級詳細地講述了modelsim的各項功能的使用,簡單易懂。但是它也有缺點,就是它裏面所有事例的初期準備工作都已經放在example文件夾裏,直接將它們添加到modelsim就可以用,它假設使用者對當前操作的前期準備工作都已經很熟悉,所以初學者往往不知道如何做當前操作的前期準備。
下面,由我來介紹modelsim仿真的基本使用方法。

操作方法

(01)找到Modelsim這個軟件,雙擊打開。

modelsim使用教程

(02)單擊File,將鼠標移至New,選擇Project...,出現如圖所示的對話框。上邊的紅框填寫你要建立的工程名稱,比如我們要點亮一個led,就建立一個工程名為led的工程。下邊的紅框是指你要把工程建立在什麼位置。其餘的選項默認即可。點擊ok。

modelsim使用教程 第2張
modelsim使用教程 第3張

(03)接下來,點擊Create new File,在彈出的對話框中填寫文件名,比如led.v,add file as type,選擇verilog,點擊ok。因為我們要用Modelsim仿真,一般要有測試文件,再添加一個即可,和添加led.v步驟一樣。我起名為tb_led.v。

modelsim使用教程 第4張
modelsim使用教程 第5張

(04)分別雙擊文件名,進入編輯模式。編寫代碼。保存後,將鼠標放在其中一個文件,右鍵,compile->compile all。編譯通過後,會提示# Compile of tb_led.v was successful.# 2 compiles, 0 failed with no errors.就可以進行仿真了。

modelsim使用教程 第6張
modelsim使用教程 第7張
modelsim使用教程 第8張
modelsim使用教程 第9張

(05)點擊Simulate->start Simulation。記得把optimizationqian2的勾選去掉,點擊work前的加號,選擇測試文件,比如我寫的是tb_led.v。ok。出現wave,現在把波形加進去。在 tb_led文件右鍵 Add->To wave ->All items in design。開始運行仿真,點擊像一本書旁邊帶雙箭頭的圖標。再點擊放大鏡可以調節波形的時間軸的座標。最後的仿真波形如圖。希望對你們有幫助。

modelsim使用教程 第10張
modelsim使用教程 第11張
modelsim使用教程 第12張
modelsim使用教程 第13張
modelsim使用教程 第14張
modelsim使用教程 第15張
modelsim使用教程 第16張
modelsim使用教程 第17張
標籤: modelsim
  • 文章版權屬於文章作者所有,轉載請註明 https://miaozhigu.com/sm/diannao/goevy5.html